久久久久久久999_99精品久久精品一区二区爱城_成人欧美一区二区三区在线播放_国产精品日本一区二区不卡视频_国产午夜视频_欧美精品在线观看免费
標題:
發一個任意數分頻的程序
[打印本頁]
作者:
Mystery0724
時間:
2019-3-28 09:35
標題:
發一個任意數分頻的程序
本帖最后由 Mystery0724 于 2019-3-28 09:39 編輯
module divide(
clk,
rst_n,
clkout);
input clk,rst_n;
output clkout;
parameter WIDTH = 3;
parameter N = 5;
reg [WIDTH-1:0] cnt_p,cnt_n; //cnt_p為上升沿觸發時的計數器,cnt_n為下降沿觸發時的計數器
reg clk_p,clk_n; //clk_p為上升沿觸發時分頻時鐘,clk_n為下降沿觸發時分頻時鐘
//上升沿觸發時計數器的控制
always @ (posedge clk or negedge rst_n )
begin
if(!rst_n)
cnt_p<=0;
else if (cnt_p==(N-1))
cnt_p<=0;
else cnt_p<=cnt_p+1;
end
always @ (posedge clk or negedge rst_n)
begin
if(!rst_n)
clk_p<=0;
else if (cnt_p<(N>>1)) //N>>1表示右移一位,相當于除以2去掉余數
clk_p<=0;
else
clk_p<=1; //得到的分頻時鐘正周期比負周期多一個clk時鐘
end
//下降沿觸發時計數器的控制
always @ (negedge clk or negedge rst_n)
begin
if(!rst_n)
cnt_n<=0;
else if (cnt_n==(N-1))
cnt_n<=0;
else cnt_n<=cnt_n+1;
end
//下降沿觸發的分頻時鐘輸出,和clk_p相差半個時鐘
always @ (negedge clk)
begin
if(!rst_n)
clk_n<=0;
else if (cnt_n<(N>>1))
clk_n<=0;
else
clk_n<=1; //得到的分頻時鐘正周期比負周期多一個clk時鐘
end
assign clkout = (N==1)?clk:(N[0])?(clk_p&clk_n):clk_p; //條件判斷表達式
//當N=1時,直接輸出clk
//當N為偶數也就是N的最低位為0,N(0)=0,輸出clk_p
//當N為奇數也就是N最低位為1,N(0)=1,輸出clk_p&clk_n。正周期多所以是相與
endmodule
復制代碼
作者:
shuijingfeng
時間:
2019-7-25 15:06
記號一下
歡迎光臨 (http://www.zg4o1577.cn/bbs/)
Powered by Discuz! X3.1
主站蜘蛛池模板:
国产精品毛片av一区
|
亚洲精品一区二区久
|
在线免费观看成人
|
亚洲日本中文字幕在线
|
一区二区三区在线免费观看
|
69精品久久久久久
|
男女视频在线观看
|
精品国产精品三级精品av网址
|
免费国产一区
|
黄色免费网站在线看
|
亚洲一区二区免费视频
|
亚洲国产成人一区二区
|
jlzzjlzz欧美大全
|
免费看a
|
日韩一区二区三区在线看
|
91精品国产一区二区三区蜜臀
|
日韩综合网
|
欧美国产亚洲一区二区
|
久久久青草婷婷精品综合日韩
|
午夜免费视频观看
|
日本一二三区电影
|
午夜免费视频
|
国产成人综合在线
|
亚洲国产精品va在线看黑人
|
一区二区av
|
激情六月丁香
|
国产yw851.c免费观看网站
|
91在线网站
|
在线免费观看毛片
|
在线观看日本高清二区
|
久久这里只有精品首页
|
www成年人视频
|
国产农村妇女毛片精品久久麻豆
|
色就是色欧美
|
精品国产一区一区二区三亚瑟
|
日韩欧美在线一区
|
99精品免费视频
|
国产激情91久久精品导航
|
欧美精品在线播放
|
国产在线视频三区
|
日韩av网址在线观看
|