|
基于FPGA的3位鎖存
- Ilibrsry ieee;
- use ieee.std_logic_1164.all;
- entity sn74373 is
- port(d: in std_logic_vetor(8 downto 1);
- OEN:in std_logic;
- G:in std_logic;
- Q:out std_logic_vector(8 downto 1));
- end entity sn74373;
- architecture two of sn74373 is
- signal sigvec_save:std_logic_vector(8 downto 1);
- begin
- process(D,OEN,G)
- begin
- if OEN='0' then
- Q<=sigvec_save;
- else
- Q<="ZZZZZZZZ";
- end if;
- if G='1' then
- sigvec_save<=D;
- end if;
- end process;
- eng architecture two;
復制代碼
|
-
-
fpga.docx
2018-5-31 12:38 上傳
點擊文件名下載附件
下載積分: 黑幣 -5
10.56 KB, 下載次數: 2, 下載積分: 黑幣 -5
3位所存
|