久久久久久久999_99精品久久精品一区二区爱城_成人欧美一区二区三区在线播放_国产精品日本一区二区不卡视频_国产午夜视频_欧美精品在线观看免费

 找回密碼
 立即注冊

QQ登錄

只需一步,快速開始

搜索
查看: 3461|回復: 2
收起左側

VHDL例化元件報錯和類型不匹配的問題

[復制鏈接]
ID:258507 發表于 2019-2-28 18:31 | 顯示全部樓層 |閱讀模式
在做一個老師課后布置的小設計,出了兩個錯誤,2天了,我嘗試了各種辦法依舊沒有解決。
元件例化的port ma出錯
error.png
顯示“未定義的實體”,但是在同一個VHDL文件上面,明明有定義了。 error2.png


還有這個類型匹配的問題7+2(1 downto 0)=9

match1.png
這里要求一個賦值給矢量(2 downto 0)
我只能補個blank,壓根就算不上解決....
match2.png

不知道各位大佬有何見解
回復

使用道具 舉報

ID:258507 發表于 2019-2-28 18:42 | 顯示全部樓層
文字版
出錯源代碼   pcreg:flopr generic map(32) port map(clk,reset,pcnext,pc);

Error (12006): Node instance "pcreg" instantiates undefined entity "flopr". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.

下面是flopr的定義...就在出錯代碼的上方。
component flopr generic(width:integer);
    port(clk,reset: in  STD_LOGIC;
              d:         in  STD_LOGIC_VECTOR(width-1 downto 0);
                        q:         out STD_LOGIC_VECTOR(width-1 downto 0));
  end component;

回復

使用道具 舉報

ID:258507 發表于 2019-2-28 18:48 | 顯示全部樓層
QQ截圖20190228184627.png
只有這樣1位1位湊夠9位,才能執行最后的()<=controls(8 downto 0) 賦值
但是這里的aluop必須為2位,為之奈何?
回復

使用道具 舉報

您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規則

小黑屋|51黑電子論壇 |51黑電子論壇6群 QQ 管理員QQ:125739409;技術交流QQ群281945664

Powered by 單片機教程網

快速回復 返回頂部 返回列表
主站蜘蛛池模板: 性做久久久久久免费观看欧美 | 国产一区二区久久 | 久久伊人精品 | 欧美一区二区三区视频在线播放 | 美女国产一区 | 亚洲一二三区在线观看 | 免费看黄色片 | 亚洲视频在线观看 | 免费国产视频 | 国产精品高潮呻吟久久久久 | 亚洲天堂av在线 | 国产精品永久 | 久久99久久99精品免视看婷婷 | 欧美精选一区二区 | 欧美中文字幕一区二区三区亚洲 | 成人免费视频在线观看 | 日韩在线一区二区 | 99热碰| 亚洲美女在线视频 | 欧美人妇做爰xxxⅹ性高电影 | 精品久久久久久久久久 | 91极品欧美视频 | 夜夜操天天操 | 午夜久久 | 一区二区三区在线免费观看 | 日韩在线播放中文字幕 | 成人不卡在线 | 另类二区 | 国产美女久久 | 99久久精品免费看国产四区 | 99精品免费久久久久久久久日本 | 欧美日韩成人影院 | 在线免费观看色 | 成人在线亚洲 | 中文字幕一区二区三区精彩视频 | 在线播放国产一区二区三区 | 国产视频一区二区 | 不卡一区 | 男人天堂久久 | 国产成人在线一区 | 日本激情视频中文字幕 |