久久久久久久999_99精品久久精品一区二区爱城_成人欧美一区二区三区在线播放_国产精品日本一区二区不卡视频_国产午夜视频_欧美精品在线观看免费

 找回密碼
 立即注冊

QQ登錄

只需一步,快速開始

搜索
查看: 13674|回復: 16
打印 上一主題 下一主題
收起左側

我做的EDA密碼鎖仿真Quartus II課程設計報告

  [復制鏈接]
跳轉到指定樓層
樓主
此程序目前只能仿真實現,稍作修改便可以做成實物。

EDA課程設計報告

課程設計名稱:電子密碼鎖

院系:機械與電子信息工程系

專業:電子信息工程

班級:1430402

學號:20143*0228

姓名:吳*琴

指導老師:蔡*民


2017年6月
  • 實驗目的:

利用基于所學EDA相關知識以Quartus II 軟件設計一個具有較高安全性和較低成本的通用電子密碼鎖,其具體功能要求如下:

  • 密碼輸入:每按下一個數字鍵,就輸入一個數值,并在顯示器上的最左方顯示‘*’號,輸入第二個數值后,最左方顯示兩個‘*’號,直到輸入完8位數值密碼后,顯示器上顯示出8個‘*’號。輸入完成8位數值密碼后,輸入的數值無效。
  • 密碼清除:按下此鍵可以撤銷前一次輸入的密碼。
  • 密碼更改:在開鎖狀態下按下此鍵時會將目前的數字設定成新的密碼,其它狀態密碼更改無效。
  • 解除電鎖:按下此鍵會檢查輸入的密碼是否正確,密碼正確即開鎖。
  • 警報:密碼在連續三次輸入錯誤之后,電子密碼鎖會發出警報。
  • 實驗內容
  • 新建工程dianzimimasuo
  • 新建Verilog HDL File
選擇菜單File,選擇New選項,根據所使用的硬件描述語言類型選擇相應的新建文件型(Verilog HDL File)。                           
  • 輸入程序
  • 編譯
  • 仿真

三.任務分析和設計方案

作為通用電子密碼鎖,主要由三個部分組成:數字密碼輸入電路,密碼鎖控制電路和密碼顯示電路。

各個模塊電路的選取:

  • 數字密碼輸入電路采用4x4矩陣鍵盤。

(1)4x4矩陣鍵盤的工作原理:矩陣鍵盤又稱為行列式鍵盤,它是由4條行線,4條列線組成的鍵盤,其原理如圖1.1所示,在行線和列線的每個交叉點上,設置一個按鍵,按鍵的個數是4x4個,按鍵排列如圖1.2所示。當按下某個按鍵后,為了辨別和讀取鍵值信息,一般采用如下的方法:向X端口掃描輸入一組只含一個0的4位數據,如1110,1101,1011,0111,如有按鍵按下,則Y口一定會輸出對應的數據,因此,只要結合X,Y口的數據,就能判斷按鍵的位置。

     圖1.1  4x4矩陣鍵盤電路


圖片詳見51hei附件)


                                     圖1.2按鍵排列

(2)4x4矩陣鍵盤檢測程序:如下是用Verilog編寫的4x4矩陣鍵盤鍵值掃描判斷程序,鍵盤掃描程序由1個always模塊構成,在always模塊中先進行模4計數,在計數器的每個狀態從FPGA內部送出一列掃描數據給鍵盤,然后讀入經過去抖處理的4行數據,根據行,列數據,確定按下的是哪個鍵。

//按鍵模塊

module scankey(clk,a,b,keyvalue);

input clk;                 //鍵盤掃描時鐘信號

input [3:0]b;

output reg[3:0]a;                                          //輸出掃描信號給鍵盤

output reg [3:0]keyvalue;

reg [1:0]q;

reg [3:0] qdb;//消抖后的b

reg [3:0]rxbuf;

always @( posedge clk) begin //按鍵消抖

rxbuf[0] <= b[0];

qdb[0] <= ~(rxbuf[0] & (~b[0]));

end

always @( posedge clk) begin //按鍵消抖

rxbuf[1] <= b[1];

qdb[1] <= ~(rxbuf[1] & (~b[1]));

end

always @( posedge clk) begin //按鍵消抖

rxbuf[2] <= b[2];

qdb[2] <= ~(rxbuf[2] & (~b[2]));

end

always @( posedge clk) begin //按鍵消抖

rxbuf[3] <= b[3];

qdb[3] <= ~(rxbuf[3] & (~b[3]));

end

always@(posedge clk)

begin q<=q+1;

case(q)                                                                      //給a口送出掃描數據

0:a<=4'b1110;

1:a<=4'b1101;

2:a<=4'b1011;

3:a<=4'b0111;

endcase

case({a,qdb})                                                                      //判斷鍵值

8'b1110_0111:keyvalue<=4'b0000;              //key0

8'b1110_1011:keyvalue<=4'b0001;              //key1

8'b1110_1101:keyvalue<=4'b0010;

8'b1110_1110:keyvalue<=4'b0011;

8'b1101_0111:keyvalue<=4'b0100;

8'b1101_1011:keyvalue<=4'b0101;

8'b1101_1101:keyvalue<=4'b0110;

8'b1101_1110:keyvalue<=4'b0111;

8'b1011_0111:keyvalue<=4'b1000;

8'b1011_1011:keyvalue<=4'b1001;              //key9

8'b1011_1101:keyvalue<=4'b1010;              //keyA

8'b1011_1110:keyvalue<=4'b1011;

8'b0111_0111:keyvalue<=4'b1100;

8'b0111_1011:keyvalue<=4'b1101;

8'b0111_1101:keyvalue<=4'b1110;              //keyE

8'b0111_1110:keyvalue<=4'b1111;              //keyF

default:keyvalue=8'b1111_1111;

endcase

end

Endmodule

  • 密碼顯示電路采用8個七段數碼管顯示密碼輸入狀態,綠色發光二極管指示鎖的開閉狀態,黃色發光二極管指示密碼修改成功與否,紅色發光二極管指示警報狀態。
  • 密碼鎖控制電路采用EP4CE10F17C8芯片控制。

根據以上選定的輸入設備和顯示器件,并考慮到實現各項數字密碼鎖功能的具體要求,整個電子密碼鎖系統的總體組成框圖如圖1.3所示。

(1)密碼鎖輸入電路包括時序產生電路,鍵盤掃描電路,鍵盤彈跳消除電路,鍵盤譯碼電路等幾個小的功能電路。

(2)密碼鎖控制電路包括按鍵數據的緩沖存儲電路,密碼的清除,變更,存儲,密碼核對(數值比較電路),解鎖電路(開/關門鎖電路)等幾個小的功能電路。

(3)七段數碼管顯示電路主要將待顯示數據的BCD碼轉換成數碼器的七段顯示驅動編碼。

(詳見51hei附件)

   密碼控制電路

顯示電路

     七段數碼管

圖1.3數字電子密碼鎖系統總體框圖

總體設計方案:

采用主,從兩個狀態機來完成8位電子密碼鎖設計。

主狀態機用來完成waits(等待)狀態,pass(開鎖)狀態,changing(改密)狀態,alarm(警報)狀態之間的切換。主狀態機狀態圖如圖2.1所示

(詳見51hei附件)

圖2.1主狀態機

主狀態機簡要說明:復位鍵(Resetb)為0,進入等待(waits)狀態;主現狀態為等待狀態且correct為1,主狀態進入開鎖(pass)狀態;在開鎖狀態延時10s后,主狀態回到等待狀態;主現態為開鎖狀態且mimagaile為1,主狀態進入改密(changing)狀態;在改密狀態延時10s后,主狀態回到等待狀態;主現態為等待狀態且密碼連續輸入錯誤3次,主狀態進入警報(alarm)狀態;在警報狀態延時1分鐘后,主狀態回到等待狀態。

從狀態機用于輸入8位密碼,程序如下:

always@(posedge clk or negedge resetb)

begin

      if(!resetb)

                            sub_state<=first;//從狀態為first

                            else

                            sub_state<=next_sub_state;//從狀態為下一個從狀態

end

                            always@(cmd or cmd_t or sub_state)

begin

      if(cmd_t==0)//檢測有按鍵按下

                            case(cmd)

                            cancel:begin //密碼輸入錯誤時,重復上一個狀態

                                                        if(sub_state==first)

                                                        next_sub_state=first;

                                                        else

                                                        case(sub_state)

                                                        second:next_sub_state=first;

                                                        third: next_sub_state=second;

                                                        fourth:next_sub_state=third;

                                                        fifth:next_sub_state=fourth;

                                                        sixth:next_sub_state=fifth;

                                                        seventh:next_sub_state=sixth;

                                                        eighth:next_sub_state=seventh;

                                                        finish:next_sub_state=eighth;

                                                        endcase

end

//4個密碼輸完時,進行確認

enter:next_sub_state=first;

setmima:next_sub_state=first;

sure:next_sub_state=first;

//default為輸入了某位密碼,輸入完自動將狀態轉入下一位

default:

                                          case(sub_state)

                                                                                    first:begin next_sub_state=second;dig=8'b00000001;end

                                                                                    second:begin next_sub_state=third;dig=8'b00000011;end

                                                                                    third:begin next_sub_state=fourth;dig=8'b00000111;end

                                                                                    fourth:begin next_sub_state=fifth;dig=8'b00001111;end

                                                                                    fifth:begin next_sub_state=sixth;dig=8'b00011111;end

                                                                                    sixth:begin next_sub_state=seventh;dig=8'b00111111;end

                                                                                    seventh:begin next_sub_state=eighth;dig=8'b01111111;end

                                                                                    eighth:begin next_sub_state=finish;dig=8'b11111111;end      //當輸入完8位密碼后狀態保持不變,等待輸入enter命令

                                                                                    finish:begin next_sub_state=finish;dig=8'b11111111;end

                                          endcase

endcase

else

next_sub_state=sub_state;

end

從狀態機簡要說明:按下清除鍵后從狀態機狀態回到前一個狀態,按下數字鍵后從狀態機為下一個狀態(即輸入下一位密碼)

四.實驗結果

功能驗證:

  • cmd為輸入命令用來代替按鍵驗證功能,cmd=0000表示按鍵0,cmd=0001表示按鍵1,cmd=0010表示按鍵2,cmd=0011表示按鍵3,cmd=0100表示按鍵4,cmd=0101表示按鍵5,cmd=0110表示按鍵6,cmd=0111表示按鍵7,cmd=1000表示按鍵8,cmd=1001表示按鍵9,cmd=1010表示確認鍵,cmd=1011表示清除鍵,cmd=1101表示改密鍵。
  • dig用來控制8個共陽數碼管的公共端(即可以控制8個數碼管‘——’的亮滅來顯示密碼輸入到第幾位)。
  • passed輸出高電平表示開鎖狀態。
  • resetmimaok輸出高電平表示修改密碼成功。
  • alarmed輸出高電平表示警報狀態。

圖3.1總體功能仿真結果(包含開鎖,改密,清除,警報,顯示密碼輸入到第幾位)

下面將總圖按功能分解分析:

圖3.2初始密碼開鎖功能

初始密碼為11111111,如圖3.2中40ns開始輸入密碼為11011111按下確認鍵(1010)后密碼錯誤passed保持低電平(電子鎖未打開),接著輸入正確密碼(11111111)按下確認鍵(1010)后密碼正確passed輸出高電平(電子鎖打開)。

圖3.3修改密碼功能

如圖3.3在passed為高(即開鎖狀態)輸入8位數字后按下改密鍵(1101)密碼被修改為11101111成功,resetmimaok輸出高電平。接著輸入初始密碼11111111按下確認鍵(1010)passed保持低電平(電子鎖未打開)之后再隨便輸入一個錯誤密碼,如圖3.3輸入01111110按下確認鍵(1010)passed保持低電平(電子鎖未打開),最后輸入修改后的密碼11101111按下確認鍵(1010)passed輸出高電平(電子鎖打開)。

圖3.4輸入大于8個數字時,前8位有效

如圖3.4中800ns處輸入密碼111011111按下確認鍵(1010)后passed輸出高電平(電子鎖打開)說明第九位數字1無效。

圖3.5三次連續輸錯密碼后警報

如圖3.5在950ns處開始連續輸入錯誤三次密碼后,alarmed輸出高電平表示發出警報。

圖3.6清除功能

如圖3.6在1.31us處輸入密碼1110113后按下清除鍵(1011)接著輸入11后,按下確認鍵(1010)passed輸出高電平(電子鎖打開)。

五.存在的問題及改進分析

  • 存在的問題:硬件電路該怎么做?如何把此設計做成實物?
  • 改進分析:數碼管顯示模塊可以改為輸入數字后顯示輸入的數字一秒鐘后變為‘*’;可以增加一個管理員密碼,管理員密碼優先級高于普通密碼,警報的解除只能靠輸入管理員密碼,輸入管理員密碼可以開鎖和更改普通密碼。

六.實驗心得及意見

通過這次設計,使我對EDA產生了濃厚的興趣。特別是當實現每個小功能成功時,心里特別開心。這次課程設計使我懂得了理論與實際相結合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結合起來,從理論中得出結論,才能真正為社會服務,從而提高自己的實際動手能力和獨立思考的能力。在設計的過程中遇到的問題,反映出來我的許多不足之處,我以后要努力克服缺點。雖然此設計在Quartus II 軟件上功能能夠大體上得到驗證,但是離做出實物還差很遠。一直沒有玩過實物開發板,根本就不知道進行硬件的連接,就連一個簡單的程序都下載進開發板進行驗證。總的來說,這次設計的密碼鎖還是比較成功的,在設計中遇到了很多問題,最后在同學和老師的辛勤的指導下外加上自己的努力,終于都得到了解決,因此很有成就感,終于覺得平時所學的知識有了實用的價值,達到了理論與實際相結合的目的。

最后說一說我對本課程一些意見,該課程從開始做實驗到最后的課程設計都一直是在電腦上進行仿真,沒有在板子上跑過程序,我覺得老師應該在開發板給我們演示簡單的程序,這樣能夠帶動我們大多數人的興趣,也能夠便于我們更快上手做一些簡單的實物,提高大家學習的積極性。


七.附錄
程序:
  1. module dianzisuo(clk,resetb,cmd,cmd_t,alarmed,passed,resetmimaok,dig);
  2. input cmd_t;//輸入命令控制信號
  3. input clk;  //輸入時鐘信號
  4. input resetb;  //輸入復位信號
  5. input [3:0] cmd;//輸入命令信號
  6. output reg [7:0]dig;//位選輸出信號
  7. output alarmed; //輸出警報信號
  8. output passed;  //輸出通過信號
  9. output resetmimaok;  //輸出密碼修改成功信號
  10. reg alarmed;
  11. reg passed;
  12. reg resetmimaok;
  13. wire clk;
  14. wire resetb;
  15. wire [3:0]cmd;
  16. wire cmd_t;
  17. reg[22:0]CNT_R0;//clk1延時寄存器
  18. reg clk1;//clk為10ns時clk1為5ms周期脈沖                                
  19. reg [31:0]inputpassword;//輸入值存放寄存器
  20. reg [31:0]PASSWORD=32'b0001_0001_0001_0001_0001_0001_0001_0001;//初始密碼
  21. parameter zero=4'b0000,//0
  22.                          one=4'b0001,//1
  23.                          two=4'b0010,//2
  24.                          three=4'b0011,//3
  25.                          four=4'b0100,//4
  26.                          five=4'b0101,//5
  27.                          six=4'b0110,//6
  28.                          seven=4'b0111,//7
  29.                          eight=4'b1000,//8
  30.                          nine=4'b1001,//9
  31.                          enter=4'b1010,//確認鍵
  32.                          cancel=4'b1011,//清除一位鍵
  33.                          setmima=4'b1100,//
  34.                          sure=4'b1101;//修改密碼鍵
  35. reg [3:0]main_state;//主現態
  36. reg [3:0]next_state;//主次態
  37. parameter waits=4'b0001,
  38.                          pass=4'b0010,
  39.                          alarm=4'b0100,
  40.                          changing=4'b1000;
  41. reg [3:0]sub_state;//從現態
  42. reg [3:0]next_sub_state;//從次態
  43. parameter first=4'b0000,
  44.                     second=4'b0001,
  45.                          third=4'b0010,
  46.                          fourth=4'b0100,
  47.                          fifth=4'b1000,
  48.                          sixth=4'b0011,
  49.                          seventh=4'b0101,
  50.                          eighth=4'b0110,
  51.                          finish=4'b0111;
  52. reg[7:0] pass_count;//通過計時寄存器
  53. reg[10:0] alarm_count;//警報計時寄存器
  54. reg[1:0] try_count;//嘗試次數寄存器
  55. reg[7:0] mima_count;//改密計時寄存器
  56. reg error;
  57. reg correct;
  58. reg mimagaile;
  59. //clk為10ns時,clk1為5ms時鐘
  60. always @(posedge clk)
  61. begin
  62. CNT_R0 <= CNT_R0 + 1'b1;
  63. if(CNT_R0 < 5000000)
  64. begin
  65. clk1 <= 1;
  66. end else
  67. begin
  68. clk1 <= 0;
  69. end
  70. end
  71. //主狀態機部分
  72. always@(main_state or correct or error or try_count or pass_count or alarm_count or mimagaile)
  73. begin
  74. case(main_state)
  75. waits: if(correct==1)//由waits轉換到pass的條件
  76.                 begin
  77.                 next_state=pass;
  78.                 end
  79.                 else if(error==1&&try_count==2)
  80.                 next_state=alarm;//由waits轉換的alarm的條件
  81.                 else
  82.                 next_state=waits;
  83. pass: if(pass_count[7]==1)//由pass轉換到waits的條件
  84.                 next_state=waits;
  85.                 else if(mimagaile==1)//由pass轉換到changing的條件
  86.                 next_state=changing;
  87.                 else
  88.                 next_state=pass;
  89. changing:if(mima_count[7]==1)//由changing轉換到waits的條件
  90.                         next_state=waits;
  91.                         else
  92.                         next_state=changing;
  93. alarm:if(alarm_count[10]==1)//由alarm轉換到waits的條件
  94.                 next_state=waits;
  95.                 else
  96.                 next_state=alarm;
  97. default:next_state=waits;
  98. endcase
  99. end
  100. //alarm一段時間后,自動進入waits狀態
  101. //alarm定時器
  102. always@(posedge clk1 or negedge resetb)
  103. begin
  104. if(!resetb)
  105. alarm_count<=0;
  106. else if(main_state==alarm)//alarm狀態計時器alarm定時器加1
  107. alarm_count<=alarm_count+1;
  108. else
  109. alarm_count<=0;
  110. end
  111. //鎖pass以后計數開始,當規定的時間到達后自動上鎖,并進入waits狀態
  112. //pass定時器
  113. always@(posedge clk1 or negedge resetb)
  114. begin
  115. if(!resetb)
  116. pass_count<=0;
  117. else if(main_state==pass) //pass狀態計時器pass定時器加1
  118. pass_count<=pass_count+1;
  119. else
  120. pass_count<=0;
  121. end
  122. //changing以后計數開始,當規定的時間到達后自動上鎖,并進入waits狀態
  123. //changing定時器
  124. always@(posedge clk1 or negedge resetb)
  125. begin
  126. if(!resetb)
  127. mima_count<=0;
  128. else if(main_state==changing) //changing狀態計時器mima_count定時器加1
  129. mima_count<=mima_count+1;
  130. else
  131. mima_count<=0;
  132. end
  133. //狀態轉換
  134. always@(posedge clk or negedge resetb)
  135. begin
  136.         if(!resetb)//如果按下復位鍵,則主狀態為等待狀態
  137.         main_state<=waits;
  138.         else                        //如果沒按則進入下一個狀態
  139.         main_state<=next_state;
  140. end
  141. //輸出控制部分
  142. always@(posedge clk or negedge resetb)
  143. begin
  144.                 if(!resetb)//復位按下時,開鎖輸出和報警輸出都為零
  145.                 begin
  146.                       passed<=0;
  147.                                 alarmed<=0;
  148.                                 resetmimaok<=0;
  149.                 end
  150.                 else if(main_state==pass)//當主機狀態為pass時開鎖
  151.                 begin
  152.                                 passed<=1;
  153.                                 alarmed<=0;
  154.                                 resetmimaok<=0;
  155.                 end
  156.                 else if(main_state==changing)//當主機狀態為changing時,改密碼
  157.                 begin
  158.                                 passed<=0;
  159.                                 alarmed<=0;
  160.                                 resetmimaok<=1;
  161.                 end
  162.                 else if(main_state==alarm)//當主機狀態為alarm時,警報
  163.                 begin
  164.                                 passed<=0;
  165.                                 alarmed<=1;
  166.                                 resetmimaok<=0;
  167.                 end
  168. end
  169. //從狀態機,用于輸入8位密碼
  170. always@(posedge clk or negedge resetb)
  171. begin
  172.       if(!resetb)
  173.                 sub_state<=first;//從狀態為first
  174.                 else
  175.                 sub_state<=next_sub_state;//從狀態為下一個從狀態
  176. end
  177.                 always@(cmd or cmd_t or sub_state)
  178. begin
  179.       if(cmd_t==0)//檢測有按鍵按下
  180.                 case(cmd)
  181.                 cancel:begin //密碼輸入錯誤時,重復上一個狀態
  182.                                  if(sub_state==first)
  183.                                  next_sub_state=first;
  184.                                  else
  185.                                  case(sub_state)
  186.                                  second:next_sub_state=first;
  187.                                  third: next_sub_state=second;
  188.                                  fourth:next_sub_state=third;
  189.                                  fifth:next_sub_state=fourth;
  190.                                  sixth:next_sub_state=fifth;
  191.                                  seventh:next_sub_state=sixth;
  192.                                  eighth:next_sub_state=seventh;
  193.                                  finish:next_sub_state=eighth;
  194.                                  endcase
  195. end
  196. //4個密碼輸完時,進行確認
  197. enter:next_sub_state=first;
  198. setmima:next_sub_state=first;
  199. sure:next_sub_state=first;
  200. //default為輸入了某位密碼,輸入完自動將狀態轉入下一位
  201. default:
  202.                         case(sub_state)
  203.                                                 first:begin next_sub_state=second;dig=8'b00000001;end
  204.                                                 second:begin next_sub_state=third;dig=8'b00000011;end
  205.                                                 third:begin next_sub_state=fourth;dig=8'b00000111;end
  206.                                                 fourth:begin next_sub_state=fifth;dig=8'b00001111;end
  207.                                                 fifth:begin next_sub_state=sixth;dig=8'b00011111;end
  208.                                                 sixth:begin next_sub_state=seventh;dig=8'b00111111;end
  209.                                                 seventh:begin next_sub_state=eighth;dig=8'b01111111;end
  210.                                                 eighth:begin next_sub_state=finish;dig=8'b11111111;end      //當輸入完8位密碼后狀態保持不變,等待輸入enter命令
  211.                                                 finish:begin next_sub_state=finish;dig=8'b11111111;end
  212.                         endcase
  213. endcase
  214. else
  215. next_sub_state=sub_state;
  216. end
  217. //記錄密碼
  218. always@(posedge clk or negedge resetb)
  219. begin
  220.           if(!resetb)
  221.           inputpassword<=0;
  222.           else if(cmd_t==0)
  223.                 case(sub_state)
  224.                         first:inputpassword[31:28]<=cmd[3:0];
  225.                         second:inputpassword[27:24]<=cmd[3:0];
  226.                         third:inputpassword[23:20]<=cmd[3:0];
  227.                         fourth:inputpassword[19:16]<=cmd[3:0];
  228.                         fifth:inputpassword[15:12]<=cmd[3:0];
  229.                         sixth:inputpassword[11:8]<=cmd[3:0];
  230.                         seventh:inputpassword[7:4]<=cmd[3:0];
  231.                         eighth:inputpassword[3:0]<=cmd[3:0];
  232.                         default:inputpassword<=inputpassword;
  233.                 endcase
  234.                 else
  235.                 inputpassword<=inputpassword;
  236.                 end
  237.                 //比較密碼
  238.                 always@(posedge clk or negedge resetb)
  239.                 begin
  240.                 if(!resetb)//如果復位鍵按下則正確,錯誤都清零
  241.                    begin
  242.                                 correct<=0;
  243.                                 error<=0;
  244.                         end
  245.                 else if(cmd_t==0&&cmd==enter)//當按鍵按下,且是enter鍵按下時對密碼進行比較
  246.                                 if(inputpassword==PASSWORD)//密碼正確時correct=1
  247.                                 begin
  248.                                         correct<=1;
  249.                                         error<=0;
  250.                                 end
  251.                                 else //密碼錯誤時,error為1
  252.                                 begin
  253.                                                 error<=1;
  254.                                                 correct<=0;
  255.                                 end
  256.                                 else
  257.                                         begin
  258.                                                 correct<=0;
  259.                                                 error<=0;
  260.                                                 end
  261. end
  262. //修改密碼
  263. always@(posedge clk )
  264. begin
  265. if(cmd_t==0&&cmd==sure)
  266.     begin
  267.          PASSWORD<=inputpassword;
  268.          mimagaile<=1;
  269.          end
  270. else
  271. mimagaile<=0;
  272. end
  273. //記錄錯誤次數
  274. always@(posedge clk or negedge resetb)
  275. begin
  276. if(!resetb)//復位時密碼重試次數清零
  277.         try_count<=0;
  278.         else
  279.         if(error==1)//當輸入密碼錯誤時,重試次數加1
  280.         try_count<=try_count+2'b01;
  281.         else if(main_state==pass||main_state==alarm)//當有一次成功,重試次數超過三次后,進入報警后重試次數清零
  282.                         try_count<=0;
  283.                         end
  284.         endmodule
  285. //按鍵模塊
  286. module scankey(clk,a,b,keyvalue);
  287. input clk;
  288. input [3:0]b;
  289. output reg[3:0]a;
  290. output reg [3:0]keyvalue;
  291. reg [1:0]q;
  292. reg [3:0] qdb;//消抖后的b
  293. reg [3:0]rxbuf;
  294. always @( posedge clk) begin //按鍵消抖
  295. rxbuf[0] <= b[0];
  296. qdb[0] <= ~(rxbuf[0] & (~b[0]));
  297. end
  298. always @( posedge clk) begin //按鍵消抖
  299. rxbuf[1] <= b[1];
  300. qdb[1] <= ~(rxbuf[1] & (~b[1]));
  301. end
  302. always @( posedge clk) begin //按鍵消抖
  303. rxbuf[2] <= b[2];
  304. qdb[2] <= ~(rxbuf[2] & (~b[2]));
  305. end
  306. always @( posedge clk) begin //按鍵消抖
  307. rxbuf[3] <= b[3];
  308. qdb[3] <= ~(rxbuf[3] & (~b[3]));
  309. end
  310. always@(posedge clk)
  311. begin q<=q+1;
  312. case(q)
  313. 0:a<=4'b1110;
  314. 1:a<=4'b1101;
  315. 2:a<=4'b1011;
  316. 3:a<=4'b0111;
  317. endcase
  318. case({a,qdb})
  319. 8'b1110_0111:keyvalue<=4'b0000;//按鍵0
  320. 8'b1110_1011:keyvalue<=4'b0001;//按鍵1
  321. 8'b1110_1101:keyvalue<=4'b0010;
  322. 8'b1110_1110:keyvalue<=4'b0011;
  323. 8'b1101_0111:keyvalue<=4'b0100;
  324. 8'b1101_1011:keyvalue<=4'b0101;
  325. 8'b1101_1101:keyvalue<=4'b0110;
  326. 8'b1101_1110:keyvalue<=4'b0111;
  327. 8'b1011_0111:keyvalue<=4'b1000;//按鍵8
  328. 8'b1011_1011:keyvalue<=4'b1001;//按鍵9
  329. 8'b1011_1101:keyvalue<=4'b1010;//確認鍵
  330. 8'b1011_1110:keyvalue<=4'b1011;//清除鍵
  331. 8'b0111_0111:keyvalue<=4'b1100;
  332. 8'b0111_1011:keyvalue<=4'b1101;//改密鍵
  333. 8'b0111_1101:keyvalue<=4'b1110;
  334. 8'b0111_1110:keyvalue<=4'b1111;


  335. …………限于本文篇幅 余下代碼請從51黑下載附件…………
復制代碼

完整論文下載(word格式 可編輯):
EDA電子密碼鎖課程設計報告.docx (673.02 KB, 下載次數: 189)



評分

參與人數 2黑幣 +11 收起 理由
2457514212 + 5 共享資料的黑幣獎勵!
這貨不是小武啊 + 6 贊一個!

查看全部評分

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏10 分享淘帖 頂1 踩
回復

使用道具 舉報

沙發
ID:1 發表于 2017-6-28 16:22 | 只看該作者
好資料,51黑有你更精彩!!!
回復

使用道具 舉報

板凳
ID:235109 發表于 2017-9-24 21:41 | 只看該作者
感謝!!十分實用~~
回復

使用道具 舉報

地板
ID:335906 發表于 2018-5-22 19:05 | 只看該作者
感謝樓主,我的畢設課題和這個有相似之處,很有用
回復

使用道具 舉報

5#
ID:361408 發表于 2018-6-28 22:37 來自觸屏版 | 只看該作者
樓主其他的怎么看
回復

使用道具 舉報

6#
ID:538262 發表于 2019-5-14 19:57 | 只看該作者
感謝十分有用!
回復

使用道具 舉報

7#
ID:511206 發表于 2019-5-23 22:35 | 只看該作者
優秀
回復

使用道具 舉報

8#
ID:495974 發表于 2019-7-4 16:59 | 只看該作者
有沒有實物圖啊
回復

使用道具 舉報

9#
ID:514848 發表于 2019-12-17 16:48 | 只看該作者
優秀,我拿去借鑒了
回復

使用道具 舉報

10#
ID:790676 發表于 2020-6-27 09:25 | 只看該作者
很有幫助,借鑒一下
回復

使用道具 舉報

11#
ID:806589 發表于 2020-7-22 09:38 | 只看該作者
很有幫助,想看之后的代碼
回復

使用道具 舉報

12#
ID:777603 發表于 2020-11-20 20:22 | 只看該作者
優秀,拿去借鑒了

回復

使用道具 舉報

13#
ID:830608 發表于 2020-12-2 10:27 | 只看該作者
666可以的挺有用的
回復

使用道具 舉報

14#
ID:839981 發表于 2021-1-14 15:36 | 只看該作者
你好,有關于引腳鎖定的代碼嗎
回復

使用道具 舉報

15#
ID:839981 發表于 2021-1-14 15:37 | 只看該作者
你好,有關于引腳鎖定的代碼嗎?
回復

使用道具 舉報

16#
ID:1054542 發表于 2022-11-29 11:34 | 只看該作者
樓主好了厲害,想學
回復

使用道具 舉報

您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規則

小黑屋|51黑電子論壇 |51黑電子論壇6群 QQ 管理員QQ:125739409;技術交流QQ群281945664

Powered by 單片機教程網

快速回復 返回頂部 返回列表
主站蜘蛛池模板: av网站免费在线观看 | 免费观看黄 | 亚洲视频在线播放 | 国产精品一区二区三区在线 | 三极网站 | 亚洲成年影院 | 亚洲国产精久久久久久久 | 国产一区2区 | 日本成人毛片 | 亚洲一区视频在线 | 午夜小电影 | 视频一区二区在线观看 | 国产一区精品 | 国产片一区二区三区 | 国产福利久久 | 欧美亚洲视频 | 91亚洲一区 | 久久久久国产一区二区三区 | 国内精品视频在线观看 | 日韩蜜桃视频 | 国产精品激情小视频 | av乱码 | 97在线观视频免费观看 | 久久国产欧美一区二区三区精品 | 中国毛片免费 | 国产精品不卡一区 | 国产精品久久 | 国产高清免费在线 | 国产一区二区三区免费 | 亚洲36d大奶网 | 欧美成人激情 | 成人av资源在线 | 国产做a爱免费视频 | 91免费在线视频 | 久久精品在线播放 | 国产精品a久久久久 | 久久国产精品视频 | 日产精品久久久一区二区福利 | 国产精品呻吟久久av凹凸 | 国产传媒视频在线观看 | 欧美精品中文字幕久久二区 |